Monthly Shaarli

All links of one month in a single page.

January, 2022

« Maus », la BD culte sur la Shoah, bannie d’une école du Tennessee pour une dizaine de gros mots et des « images de nu »

Comme quoi, il y a aussi des «woke» d’extrême droite.

Ethernet | RJ45 clip to secure/repair/fix broken tab by guss67 - Thingiverse

La languette de votre câble éthernet est cassé ?
Pas de problème avec cet embout !

Synchronous & Asynchronous Reset – VLSI Pro

Reset synchrone ou asynchrone ?

LE CLOUD

C'est donc bien vrai, il y a bien un bled en France qui se nomme «le cloud» :)

Pourquoi les chauffards conduisent des grosses bagnoles chères ? - Standblog

Ça c'est de la punchline : «Plus le conducteur est riche, plus gros est le trou du cul, nous dit la science»

* import chisel3._ class Example extends Module { val a, b, c = IO(Input(Bool())) val d, e, f = IO(Input(Bool())) val foo, bar = IO(Input(UInt(8.W))) val out = IO(Output(UInt(8.W))) val myReg = RegInit(0.U(8.W)) out := myReg when (a && b && c) { myReg := foo } when (d && e && f) { myReg := bar } } println(getVerilogString(new Example)) - Scastie

Chisel version 3.5.0 sur scatie

Release Chisel v3.5.0 · chipsalliance/chisel3

Chisel 3.5.0 est sorti. Avec quelques nouveautés majeur comme le test formel avec chiseltest.

Une page de pub - Mélakarnets
Board with 25 RGB LEDs is offered with ESP32-C3 or ESP32-Pico-D4 - CNX Software
Tentative de censure de la part du ministère - Paris-luttes.info

Le ministère n'aime pas que l'on publie la liste des logements sociaux vides à Paris.
Pour avoir la liste c'est par là : https://paris-luttes.info/erratum-mise-a-jour-de-la-liste-15319

[Via sebsauvage: https://sebsauvage.net/links/?raARrQ]

Design of a Multi-Stage PDM to PCM Decimation Pipeline | Electronics etc…

tomverbeure à mis sa page sur le filtrage PDM à jours \o/

Tang Nano 9K: FPGA-Board für 15 Euro | heise online

L'exemple GbHdmi cité en exemple dans l'article www.heise.de sur la Tang Nano

cocotb | Python verification framework

Nouveau site internet, compte twitter/linkedin et surtout nouveau Logo pour Cocotb.

Google Research Releases Circuit Training, an Open-Source Framework for Automated Chip Floorplanning - AB Open

Google publie le code d'un outil pour faire du «floorplanning» avec de l'IA.
En plus d'être open-source, l'outil est incroyablement plus performant que l'humain pour la conception d'ASIC (et de FPGA ?)

Machine Learning Detects Distracted Politicians | Hackaday

De l'IA pour fliquer les parlementaire. J'adore ;)

import chisel3._ import chisel3.stage.ChiselStage class Foo extends Module { val io = IO(new Bundle { val in = Input(Bool()) val out = Output(Bool()) }) io.out := ~io.in } println((new ChiselStage).emitVerilog(new Foo)) - Scastie

Pour tester des bouts de code Chisel en ligne

zwenergy/gbaHD: A GBA to DVI converter.

Ho, l'équivalent de mon GbHDMI mais pour Game Boy Advance !

https://github.com/Martoni/GbHdmi

Customizing pandoc to generate beautiful pdf and epub from markdown - DEV Community
What is an Indie Maker?
Hello, Microcontroller! Programming a microcontroller in ~100 lines of dependency-free Rust | Tempus Ex
thumbnail

coude

F1C100s

Alors voila, ce composant est un microprocesseur ARM9 cadencé à 533Mhz avec une MMU et 32Mo de DDR.
Il supporte l'encodage/décodage vidéo et ne coûte que ... 0.75€ d'après bbenchoff !
Bref, Linux tourne dessus sans problème et sans composant supplémentaire autre qu'une carte SD ( la RAM est intégrée au composant).

Ce monde me dépasse.

Rust for Embedded C Programmers | OpenTitan Documentation

Rust pour les développeurs C embarqué

GPS – Bartosz Ciechanowski

Comment marche le GPS, explication complète en Images.

CharaChorder - Type at the Speed of Thought - Peripheral Device

Un nouveau concept révolutionnaire de clavier ?

Building a SoC with Litex. – controlpaths.

Construire un SoC (System on Chip) en 5 minutes avec Litex.

brianbennett/fpga_nes: FPGA-based Nintendo Entertainment System Emulator
« Il est difficile pour une personne moyenne de concevoir à quel point les NFT sont inutiles », selon Holden Shearer, un concepteur de jeux vidéo
Ces panneaux ne devraient pas exister en ville - Tanguy Ortolo

Tout à fait d'accord.
Chez nous ces panneaux sont carrés entouré de blanc par contre.

Tales from Beyond the Register Map: Edalize 0.3.0

Edalyze version 0.3.0

Igor et Grichka Bogdanoff - OpenNews
Chisel, construire du matériel en langage Scala | Connect - Editions Diamond

Bonne année,
Et si on profitait de cette nouvelle année pour mettre de coté le Verilog/VHDL et passer à Chisel ?